TOP Contributors

  1. MIKROE (2653 codes)
  2. Alcides Ramos (352 codes)
  3. Shawon Shahryiar (307 codes)
  4. jm_palomino (112 codes)
  5. Chisanga Mumba (90 codes)
  6. S P (73 codes)
  7. dany (71 codes)
  8. MikroBUS.NET Team (35 codes)
  9. NART SCHINACKOW (34 codes)
  10. Armstrong Subero (27 codes)

Most Downloaded

  1. Timer Calculator (136723 times)
  2. FAT32 Library (69934 times)
  3. Network Ethernet Library (55939 times)
  4. USB Device Library (46265 times)
  5. Network WiFi Library (41886 times)
  6. FT800 Library (41169 times)
  7. GSM click (28979 times)
  8. PID Library (26412 times)
  9. mikroSDK (26357 times)
  10. microSD click (25357 times)
Libstock prefers package manager

Package Manager

We strongly encourage users to use Package manager for sharing their code on Libstock website, because it boosts your efficiency and leaves the end user with no room for error. [more info]

< Back
mikroSDK Library

Clock Gen 5 click

Rating:

0

Author: MIKROE

Last Updated: 2024-04-03

Package Version: 2.1.0.13

mikroSDK Library: 2.0.0.0

Category: Clock generator

Downloaded: 119 times

Not followed.

License: MIT license  

Clock Gen 5 Click is a compact add-on board that contains a digital programmable oscillator solution. This board features the LTC6903, a low-power self-contained digital frequency source providing a precision frequency from 1kHz to 68MHz set through a 3-wire SPI digital interface from Analog Devices.

No Abuse Reported

Do you want to subscribe in order to receive notifications regarding "Clock Gen 5 click" changes.

Do you want to unsubscribe in order to stop receiving notifications regarding "Clock Gen 5 click" changes.

Do you want to report abuse regarding "Clock Gen 5 click".

  • mikroSDK Library 1.0.0.0
  • Comments (0)

mikroSDK Library Blog


Clock Gen 5 click

Clock Gen 5 Click is a compact add-on board that contains a digital programmable oscillator solution. This board features the LTC6903, a low-power self-contained digital frequency source providing a precision frequency from 1kHz to 68MHz set through a 3-wire SPI digital interface from Analog Devices.

clockgen5_click.png

click Product page


Click library

  • Author : Stefan Ilic
  • Date : Jul 2021.
  • Type : SPI type

Software Support

We provide a library for the ClockGen5 Click as well as a demo application (example), developed using MikroElektronika compilers. The demo can run on all the main MikroElektronika development boards.

Package can be downloaded/installed directly from NECTO Studio Package Manager(recommended way), downloaded from our LibStock™ or found on Mikroe github account.

Library Description

This library contains API for ClockGen5 Click driver.

Standard key functions :

  • clockgen5_cfg_setup Config Object Initialization function.

    void clockgen5_cfg_setup ( clockgen5_cfg_t *cfg );
  • clockgen5_init Initialization function.

    err_t clockgen5_init ( clockgen5_t *ctx, clockgen5_cfg_t *cfg );

Example key functions :

  • clockgen5_out_enable Enable output function.

    void clockgen5_out_enable ( clockgen5_t *ctx, uint8_t en_out );
  • clockgen5_set_config Set configuration function.

    void clockgen5_set_config ( clockgen5_t *ctx, uint8_t cfg );
  • clockgen5_set_freq Set frequency function.

    void clockgen5_set_freq ( clockgen5_t *ctx, float freq );

Example Description

This is an example that demonstrates the use of the Clock Gen 5 click board.

The demo application is composed of two sections :

Application Init

Initialization driver enables - SPI, set output configuration CLK 180, also write log.


void application_init ( void ) {
    log_cfg_t log_cfg;  /**< Logger config object. */
    clockgen5_cfg_t clockgen5_cfg;  /**< Click config object. */

    /** 
     * Logger initialization.
     * Default baud rate: 115200
     * Default log level: LOG_LEVEL_DEBUG
     * @note If USB_UART_RX and USB_UART_TX 
     * are defined as HAL_PIN_NC, you will 
     * need to define them manually for log to work. 
     * See @b LOG_MAP_USB_UART macro definition for detailed explanation.
     */
    LOG_MAP_USB_UART( log_cfg );
    log_init( &logger, &log_cfg );
    log_info( &logger, " Application Init " );

    // Click initialization.

    clockgen5_cfg_setup( &clockgen5_cfg );
    CLOCKGEN5_MAP_MIKROBUS( clockgen5_cfg, MIKROBUS_1 );
    err_t init_flag  = clockgen5_init( &clockgen5, &clockgen5_cfg );
    if ( SPI_MASTER_ERROR == init_flag ) {
        log_error( &logger, " Application Init Error. " );
        log_info( &logger, " Please, run program again... %d", init_flag );

        for ( ; ; );
    }
    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "    Enabling Output   \r\n" );
    clockgen5_out_enable( &clockgen5, CLOCKGEN5_OUTPUT_ENABLE);

    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "   Set configuration   \r\n" );
    log_printf( &logger, "-----------------------\r\n" );
    clockgen5_set_config( &clockgen5, CLOCKGEN5_CFG_ON_CLK_180 );
    Delay_ms ( 500 );

    log_info( &logger, " Application Task " );
}

Application Task

In this example, we adjusts different frequencies every 3 sec. Results are being sent to the Usart Terminal where you can track their changes.


void application_task ( void ) {
    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "        12.0 MHz       \r\n" );
    clockgen5_set_freq( &clockgen5, 12000.0 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );

    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "         8.0 MHz       \r\n" );
    clockgen5_set_freq( &clockgen5, 8000.0 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );

    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "         5.5 MHz       \r\n" );
    clockgen5_set_freq( &clockgen5, 5500.0 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );

    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "         2.7 MHz       \r\n" );
    clockgen5_set_freq( &clockgen5, 2700.0 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );

    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "         0.8 MHz       \r\n" );
    clockgen5_set_freq( &clockgen5, 800.0 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );

    log_printf( &logger, "-----------------------\r\n" );
    log_printf( &logger, "         0.2 MHz       \r\n" );
    clockgen5_set_freq( &clockgen5, 200.0 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );
    Delay_ms ( 1000 );
}

The full application code, and ready to use projects can be installed directly from NECTO Studio Package Manager(recommended way), downloaded from our LibStock™ or found on Mikroe github account.

Other Mikroe Libraries used in the example:

  • MikroSDK.Board
  • MikroSDK.Log
  • Click.ClockGen5

Additional notes and informations

Depending on the development board you are using, you may need USB UART click, USB UART 2 Click or RS232 Click to connect to your PC, for development systems with no UART to USB interface available on the board. The terminal available in all MikroElektronika compilers, or any other terminal application of your choice, can be used to read the message.


ALSO FROM THIS AUTHOR

Tilt click

5

Tilt click carries RPI-1035, a 4-directional optical tilt sensor. This type of sensor provides positional feedback for left, right, up or down movements. Tilt click communicates with the target board microcontroller through mikroBUS PWM and INT lines, used here for vout1 and vout2 outputs from the sensor.

[Learn More]

DC Motor 16 click

5

DC Motor 16 Click is a compact add-on board that contains a high-performance single phase reversible DC motor drive with speed control. This board features the ZXBM5210, a fully-featured DC motor drive solution with an average current capability of up to 700mA from Diodes Incorporated.

[Learn More]

AudioAmp 4 click

5

AudioAmp 4 click is a low-power audio amplifier with a digital volume control. It is equipped with the LM4860, an audio amplifier IC capable of delivering up to 1W of continuous power to an 8 Ω load.

[Learn More]